This Catalog is a compilation of equipment at the six Israel nano centers available to all users on a fee basis: internal users, researchers at other universities and industry



Download 0,68 Mb.
bet8/11
Sana11.02.2017
Hajmi0,68 Mb.
#2326
1   2   3   4   5   6   7   8   9   10   11




































BAR ILAN UNIVERSITY




























Instrument

Description

Equipment Location

BIU Faculty

Academia

Industry

Operator

Faculty in Charge

Contact email

Contact phone

Operator

Self

HRSEM

JEOL JSM-7000F with Secondary electrons and Back scattering electrons systems. In addition, the microscope includes a Thermo electron EDS system and Raith Lithography system for lithography with electrons beam.

Advanced technology institude.

$35

 

$70

$145

Issashar Genish

Prof. Aviad Frydman

frydman@mail.biu.ac.il

03-5318102

HRTEM

JEOL JEM-2100 with Thermo Fischer Scientific NSS-302E EDS sytem and JEOL EM-24011 STEM.

Center for electron microscopy - Life science building.

$50

 

$95

$190

Dr. Judith Grinblat

Prof Zvi Malik

malikz@mail.biu.ac.il

03-5318204

TEM

FEI - Tecnai G2 spirit bio TWIN

Center for electron microscopy - Life science building.

$35

 

$70

$110

Dr. Judith Grinblat

Prof Zvi Malik

malikz@mail.biu.ac.il

03-5318205

SEM

FEI - Inspect 5

Chemistry building

$25

$15

$50

$80

Dr. Yossi Taljoseph

Prof Zvi Malik

malikz@mail.biu.ac.il

03-5318206

E-SEM

FEI - Quanta 200 FEG

Center for electron microscopy - Life science building.

$25

$15

$50

$80

Dr. Yaakov Langsam

Prof Zvi Malik

malikz@mail.biu.ac.il

03-5318207

FIB

FEI - Helios NanoLab Dual Beam.

Resnik building

$50

 

$95

$250

Eitan Hamami

Prof. Michael Rosenblue

rosenblu@mail.biu.ac.il

03-5318296

eBeam-Lithography

Crestec - e-LINE - Untra high resolusion electron beam lithography and nanoengineering work station.

Resnik building

TBD

TBD

TBD

TBD

Liora Biton

Prof. Aviad Frydman

frydman@mail.biu.ac.il

03-5318102

SQUID

Quantum Design - M-PMS XL7. Sensitivity -10-8 emu, The magnetism is both parallel and perpendicular to the magnetic field.

Resnik building

TBD

TBD

TBD

TBD

Yossi Bason

Prof. Lior Klien

kleinl@mail.biu.ac.il

03-5317861

PPMS (magnetotransport, 9T, 1.8-400K)

LOT-Oriel 9T magnet

Resnik building

TBD

TBD

TBD

TBD

Yossi Bason

Prof. Lior Klien

kleinl@mail.biu.ac.il

03-5317862

AFM (atomic force microscopy)

VEECO -Nanoscope V SPM. Including: Tapping, Contact Mode (dry and liquid), TUNA, SSRM, EFM, Surface potential, EC, STM, Force Microscopy, Nanoindentation.

Chemistry building

$25

$15

$50

$80

Dr. Olga Gershevich

Prof. Doron Aurbach

milka3541@yahoo.com

03-5317068
































HEBREW UNIVERSITY




























Instrument

Description

Equipment Location

HUJI Faculty

Academia

Operator/Self

Industry

Operator/Self

Operator

Faculty in Charge

Contact email

Contact phone

Operator

Self

HR SEM

FEI Sirion, SE, BSE, EDS, EBSD, CL, EBIC, cold stage (4K). Ultimate resolution in imaging 1 nm at 10 kV and WD 2 mm.

Unit for Nanocharacterization

₪ 100

₪ 160

₪ 240/150

₪ 320/200

Mrs. Evgenia Blayvas, Mr. Avi Ben Hur, Mr. Vitaly Gutkin, Dr. Inna Popov

Amir Saar, Uri Banin, Oded Millo

evgeniab@savion.huji.ac.il

02-6584879

ESEM

FEI Quanta 200, HV, LV, ESEM, EDS, Peltier stage (-20-60 degC). Ultimate resolution in HV mode - 3.5 nm at 30 kV.

Unit for Nanocharacterization

₪ 120

₪ 70

₪ 180/105

₪ 240/140

Amir Saar, Uri Banin, Oded Millo

evgeniab@savion.huji.ac.il

02-6584879

HR TEM

FEI Tecnai G2 F20, FEI, TEM-STEM, EDS, EELS, GIF, HAADF

Unit for Nanocharacterization

₪ 200

₪ 120

₪ 300/180

₪ 400/240

Dr. Inna Popov, Dr. Vladimir Uvarov

Amir Saar, Uri Banin, Oded Millo

Innap@savion.huji.ac.il

02-6586388

SPM

VEECO Nanoscope IV

Unit for Nanocharacterization

₪ 120

₪ 70

₪ 180/105

₪ 240/140

Dr. Anna Radko

Amir Saar, Uri Banin, Oded Millo

annar@savion.huji.ac.il

02-6584808

X-Ray Diffractometer

Powder D8 Advance of Burker AXS, theta-theta 430 mm goniometer, XRR

Unit for Nanocharacterization

₪ 120

₪ 70

₪ 180/105

₪ 240/140

Dr. Vladimir Uvarov, Dr. Inna Popov

Amir Saar, Uri Banin, Oded Millo

vladimiru@savion.huji.ac.il

02-6586761

XPS & Auger Spectroscopes within one unit

Kratos Axis Ultra system with XPS and scanning Auger modes, dual Al/Mn and monochromated Al anodes for XPS, LaB6 for Auger excitation.

Unit for Nanocharacterization

₪ 200

₪ 120

₪ 300/180

₪ 400/240

Mr. Vitaly Gutkin

Amir Saar, Uri Banin, Oded Millo

vitalyg@savion.huji.ac.il

02-6584799

Sample Preparation Lab

Buehler high precision mechanical grinders, hot mounting press. GATAN ultrasound cutter, mechanical dimpler, Precision Ion Polishing System (PIPS), Olympus light microscopes, Fischione Plasma Cleaner, Quorum technologies bench-top turbo pumped evaporator and sputter coater; ultrasound bath, fume chamber.

Unit for Nanocharacterization

₪ 80

₪ 50

₪ 120/75

₪ 160/100

Mr. Nadya Zaharov

Amir Saar, Uri Banin, Oded Millo

zaharovn@savion.huji.ac.il

02-6586023



Instrument

Description

Equipment Location

HUJI Faculty

Academia

Operator/Self

Industry

Operator/Self

Operator

Faculty in Charge

Contact email

Contact phone

Operator

Self

High Vacuum Sputtering System

Model MS 75x4-L from FHR is a versatile sputtering system designed for deposition of common metals and insulators (i.e. Al, Au, Cr, ITO, Si, SiO2, Si3N4) on samples and substrates (up to a diameter of 4" =100 mm). The system consists of a load-lock chamber and a deposition chamber to preserve high vacuum conditions during deposition. The system can operate in two modes: (1) serial sputtering where multiple-layers can be deposited one on top of the other; (2) co-sputtering mode where one DC target (metals and semiconductors) and one RF target (insulators) can simultaneously be deposited on the substrate. All deposition sequences are computer controlled thus allowing multi-layer deposition.

Unit for Nanofabrication

₪ 120

₪ 60

TBD

TBD

Mrs. Inna Lyubina

Amir Saar, Uri Banin, Oded Millo

Inna Lyubina, Prof. Amir Saar

02-6586094 02-6585701

E-Beam Lithography System - Raith e-LINE with EBID and manipulators

Raith e-LINE uses electron beam for fabrication of submicron (down to 40 nm) patterns on resists. Electron Beam Induced Deposition attachment allows direct etching and deposition of metals and insulators on wafers. Micro-manipulators are used for direct in-situ electrical measurments.

Unit for Nanofabrication

₪ 200

₪ 50

₪ 300/75

₪ 400/100

Dr. Yigal Lilach, Dr. Shimon Eliav

Amir Saar, Uri Banin, Oded Millo

yigall@savion.huji.ac.il

02-6585249

Mask Aligner

Suss Microtec MA-6 is the state-of-the-art equipment for research purposes, designed to align and transfer patterns from masks to a photoresist layer spinned onto a wafer. It is equipped with a 1000W light source necessary for nanoimprint processes . The MA-6 can be used with 3 to 7 inch glass or quartz masks and 2 to 6 inch wafers or wafer pieces. It is equipped with an optical backside alignment system which is must for MEMS fabrication.

Unit for Nanofabrication

TBD

TBD

TBD

TBD

Dr. Shimon Eliav

Amir Saar, Uri Banin, Oded Millo

shimonel@savion.huji.ac.il

02-6584062

Thermal/E-Gun Evaporator

The VST evaporator model TFDS-462B is a general purpouse deposition system for metallic layers on wafers, with sizes varing from 4" to pieces. It is equipped with 6 e-gun sources, 2 thermal sources, rotating sample holder, heating and cooling capabilities for the sample from liquid N2 temperature till 90°C and variable source distance till 550mm. The system base pressure is 3x10-8 Torr.

Unit for Nanofabrication

TBD

TBD

TBD

TBD

Dr. Shimon Eliav

Amir Saar, Uri Banin, Oded Millo

shimonel@savion.huji.ac.il

02-6584062

Spin Coaters and hot plates

The VST evaporator model TFDS-462B is a general purpose deposition system for metallic layers on wafers, with sizes from 4" to pieces. It is equipped with 6 e-gun sources, 2 thermal sources, rotating sample holder, heating and cooling capabilities.

Unit for Nanofabrication

TBD

TBD

TBD

TBD

Dr. Shimon Eliav

Amir Saar, Uri Banin, Oded Millo

shimonel@savion.huji.ac.il

02-6584062

Check http://nanoscience.huji.ac.il/unc/info_tariffs.htm and http://nanoscience.huji.ac.il/unf/info_tariffs.htm for latest user fee pricing

VAT to be added. Tariffs do not include consumables (tips, cryo-liquids, special holders, targets, preparatoion materials, etc.)

Independent use of equipment allowed after training and approval exam by UNC staff. Tariffs for regular work hours (9 am to 5 pm)

Technical assistant provided by the scientific/technical staff of UNC only





























Download 0,68 Mb.

Do'stlaringiz bilan baham:
1   2   3   4   5   6   7   8   9   10   11




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©hozir.org 2024
ma'muriyatiga murojaat qiling

kiriting | ro'yxatdan o'tish
    Bosh sahifa
юртда тантана
Боғда битган
Бугун юртда
Эшитганлар жилманглар
Эшитмадим деманглар
битган бодомлар
Yangiariq tumani
qitish marakazi
Raqamli texnologiyalar
ilishida muhokamadan
tasdiqqa tavsiya
tavsiya etilgan
iqtisodiyot kafedrasi
steiermarkischen landesregierung
asarlaringizni yuboring
o'zingizning asarlaringizni
Iltimos faqat
faqat o'zingizning
steierm rkischen
landesregierung fachabteilung
rkischen landesregierung
hamshira loyihasi
loyihasi mavsum
faolyatining oqibatlari
asosiy adabiyotlar
fakulteti ahborot
ahborot havfsizligi
havfsizligi kafedrasi
fanidan bo’yicha
fakulteti iqtisodiyot
boshqaruv fakulteti
chiqarishda boshqaruv
ishlab chiqarishda
iqtisodiyot fakultet
multiservis tarmoqlari
fanidan asosiy
Uzbek fanidan
mavzulari potok
asosidagi multiservis
'aliyyil a'ziym
billahil 'aliyyil
illaa billahil
quvvata illaa
falah' deganida
Kompyuter savodxonligi
bo’yicha mustaqil
'alal falah'
Hayya 'alal
'alas soloh
Hayya 'alas
mavsum boyicha


yuklab olish