This Catalog is a compilation of equipment at the six Israel nano centers available to all users on a fee basis: internal users, researchers at other universities and industry



Download 0,68 Mb.
bet4/11
Sana11.02.2017
Hajmi0,68 Mb.
#2326
1   2   3   4   5   6   7   8   9   10   11



Instrument

Description

Equipment Location




Faculty in Charge

Contact email

Contact phone

Spectroscopic Ellipsometer SOPRA

Spectroscopic ellipsometer – spectral range 0.23um-2um. Variable angel of incidence. Software to fit measurements to model.

MNFU (Microelectronics Research Center)

http://webee.technion.ac.il/labs/nano/

shneider@ee.technion.ac.il

04-8294205

Atomic Force Microscope DI 3000

Atomic Force Microscope provides the ability to image the surface topography and roughness of conducting and dielectric films as well as adsorbed molecules and nanoparticles. The Dimension 3100 system is designed to make measurements at the nanometer (X-Y) and sub-angstrom (Z) scales. The system allows users to profile and inspect topography in Contact and Tapping modes in air and in fluids. The Nanoscope in minutes can be switched to one of electrical special modules to provide: scanning capacitance microscopy (C-V characteristics); current AF microscopy (C-AFM) (local I-V characteristics); tunneling-AFM (TUNA) similar to C-AFM but with ultra low current measurement capability.
The system has a vibration isolation enclosure, to get a vertical noise resolution of less than 0.5 Angstrom. The system accommodates wafers to 8 inch and 0.5 inch thickness and has 410-1845 magnification range with 13 inch image monitor.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205

CV plotter, MDC

The MDC C-V plotter is a current -voltage measurement system used to
analyze the properties of high quality semiconductor and oxide materials. The MDC system includes BOONTON 7200: 1 MHz capacitance or conductance, 100 Volts meter, based on CSM/Win System software and operated by DELL Computer. The MDC system integrates 2 (two) types of probe stations: a QuietCHUCK Hot Chuck System for mobile ion measurements by conventional bias temperature stress technique (CVBT); and a Mercury Probe for temporary, non-destructive contact to MOS and bare semiconductor samples at room temperature. The Mercury Probe is excellent for monitoring wafer doping and resistivity and works well with GaAs, GaP materials. The MDC C-V plotter can be used in different modes: for production Capacitance-Voltage measurement, MOS C-V measurement and analysis, MOS doping profile analysis, MOS Capacitance -Time measurement and analysis.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205



Instrument

Description

Equipment Location




Faculty in Charge

Contact email

Contact phone







I-V Probe Station with 4155C SPA, AGILENT, MICROTECH

The SUSS EP4 probe station system has been especially designed for academic use. The system consists of a base plate, a substrate chuck, a magnetic Probe Head platen, and 4 SUSS PH100 probe heads. The probe station is equipped by a complete Motic SMZ 140 S Stereo Zoom microscope. The system is dedicated to probe elementary components such as transistors or capacitors. The sliding stage provides 100 mm travel in X and Y, and the working position secured by vacuum. The substrate chuck accommodates wafers and substrates up to 100 mm. The SMZ 140 S stereozoom microscope complete with adapter and fixation. The microscope has two 15X Eyepieces and 15x - 60x magnification with working distance 80 mm. The Agilent 4155C semiconductor parameter analyzer feature four source/monitor units, two voltage monitor units, and two voltage source units. The 4155C includes I/CV 2.1 Lite version software. The Agilent 4155C have a built in C-V measurement and quasi-static C-V capabilities.

MNFU (Microelectronics Research Center)




electrical engineering

shneider@ee.technion.ac.il

04-8294205
















PECVD (Plasma Enhanced CVD), UNAXIS.

The PlasmaTherm -790 PECVD system is used to deposit dielectric films such as silicon dioxide, silicon nitride or SiOxNy. The system uses a RF 13.56 MHz source excitation to produce the plasma between two parallel aluminum plates, the appropriate gases (silane, ammonia, nitrous oxide, helium and nitrogen) are decomposed, allowing the films to be deposited on heated substrate (at temperatures of 50 to 380 degrees centigrade). SiO2 is produced from SiH4/N2 4%/96% and N2O at 350C. The typical deposition rate is 400 A/min at 900 mTorr. The typical BOE etch rate of this oxide is about 400 nm/min. Si3N4 is produced from SiH4/N2 4%/96% and NH3 at 100C to 350C. The typical deposition rate is 100 A/min at 900 mTorr. The stress of the nitride film can be altered by adjusting the N2 : He ratio of the deposition. SF6/N2O plasmas are used to clean the chamber and 2 different pairs of electrodes are used to decrease the cross contaminations. The PECVD films are useful for capacitor dielectrics, electrical insulators, lithography etching masks, chemical passivation layers of microelectronic devices and optical anti-reflective coatings. The system is fully programmable with windows-based software.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205


















Instrument

Description

Equipment Location




Faculty in Charge

Contact email

Contact phone

RIE (Reactive ion etching) system, UNAXIS.

The PlasmaTherm -790 RIE system is used to etch /strip dielectric and organic films such as silicon dioxide, silicon nitride or SiOxNy, polysilicon, silicon, polyimide, photoresists and etc.. The 790 RIE system is equipped by a LEYBOLD 350 l/min turbo molecular pump. The system uses 500 W RF 13.56 MHz source excitation to produce the plasma between two parallel aluminum plates, the appropriate gases (SF6, CHF3, CF4, O2, N2) are decomposed, allowing the F radicals and ions to etch the film. The RIE plasma etchings are useful to pattern films with uniformity +/- 3% across 6" wafer. The etching pressure can be hold from 1 to 1000 mTorr, the chamber base pressure is 5x 10-6 Torr. The system is equipped by a heat exchanger to work in 0 - 100°C temperature range. The system is fully programmable with windows-based software.

MNFU (Microelectronics Research Center)




electrical engineering

shneider@ee.technion.ac.il

04-8294205

ECR Etcher, UNAXIS.

The Plasma-Therm 770 ECR reactive ion etcher has a load-locked chamber and is used for chlorine-based etching of compound semiconductors such as GaAs, GaN, InP and related materials. The system currently has two chlorine gases available Cl2, BCl3. The system is equipped by a turbomolecular pump and capable to run processes at 1-2 mTorr pressures. The system has a four inch wafer helium cooled chuck which accommodates whole wafers or small pieces taken on 4 inch carrier wafer. The system is not equipped by any end point detection system. Wafer temperature range can be determined between -60 oC to 150 oC.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205

BTU, 2-Stack, 8-tubes, silicon furnace.

The BTU 2-stack silicon furnace is a horizontal, hot wall, batch processing furnace with three temperature zones quartz reactor tubes and manual loading set up. The BTU furnace are used for oxidizing silicon, annealing surface damage, alloying metal and driving dopants into substrates for junction formation. The 8-tubes include: dry-ox, wet-ox,
hydrogen anneal, phosphorus doping, dry-ox/anneal, silicon nitride, poly-Si and LTO. The BTU furnace accommodates to 100 pieces of 4-inch silicon wafers which are closely packed in quartz boats. The furnace has three reactors for LPCVD and five for atmospheric processes.
The temperature range of the tubes is 300 °C to 1150°C.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205



Instrument

Description

Equipment Location




Faculty in Charge

Contact email

Contact phone

Wet Benches

Capability of photoresist coating and developing. Cleaning and wet etching, for processing silicon and compound semiconductors.

MNFU (Microelectronics Research Center)




electrical engineering

shneider@ee.technion.ac.il

04-8294205

MJB3 Contact Mask Aligner, KARL SUSS

The Karl Suss MJB3 exposure system is an optical contact mask aligner which aligns and transfer patterns from a quartz or glass mask to a photoresist coated wafer by exposing it to UV light 365 nm wavelength.
The mask aligner is completely manually operated with a single objective optical microscope. The MJB3 can be used with 3 or 4 inch masks and to 3 inch wafers or wafer pieces. The MJB3 uses a 350W mercury lamp that exposes 365 nm light with 10 mW/ cm2 initially calibrated intensity. The "vacuum contact" option extends the resolution to ~0.7 microns. The standard soft and hard contact modes of mechanical and pneumatic pressure respectively, only give resolution to 1.5 - 2.5 microns.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205

MA6 Contact Mask aligner, BSA system UV 400, Karl Suss

The Karl Suss MA-6 mask aligner is an optical system to align and transfer the pattern from the mask to the photoresist layer spinned onto a wafer. The MA-6 can be used with 3 to 7 " glass or quartz masks and 2 to 6" wafers or wafer pieces. The MA-6 uses a 350W mercury lamp that exposes a 365 nm light with 10 mW/cm² initially calibrated intensity. The MA-6 mask aligner has four (4) exposure regimes: soft; hard; low vacuum contact; vacuum contact. Proximity exposure regime is not available. The "vacuum contact" option extends the resolution to ~0.5 microns. The standard soft and hard contact modes of mechanical and pneumatic pressure respectively, only give resolution to 1- 2 microns. The MA-6 is equipped by an optical backside alignment system which is must for MEMS fabrication.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205

Ion Milling, MILLATRON

The Commonwealth Scientific Corporation Ion Mill system uses an energetic beam of argon atoms to physically remove (etch) material from the surface of a substrate. The ion mill can handle 2 inch substrates or smaller pieces. Photoresist is a suitable mask for selective area etching using ion milling because the resist etch rate is lower than most materials.
The ion mill is useful for providing an anisotropic etch for materials which are not easily plasma etched, such as gold. Ion milling rates are generally less than 1000 angstroms per minute for 500 eV argon atoms.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205



Instrument

Description

Equipment Location




Faculty in Charge

Contact email

Contact phone

JEOL JSM 6400 electron beam lithography system

The JEOL JSM-6400 is an electron beam lithography system designed for R&D of III-V transistors as well as process development and prototype engineering for optical elements, X-ray masks, and Silicon devices. It is also used for research of new devices that require ultra fine pattern exposure, including quantum effect devices. The JSM -6400 is a tungsten source scanning electron microscope equipped by NABITY software package for e-beam fine pattern writing which is possible due to very small spot size of the electron beam. The JSM-6400 has five subsystems: electron source (gun); electron optical column (beam-forming system); scanning system; electron collector and display; control electronics.
Secondary electron image resolution (at 35 kV)
at 8 mm working distance: 3.5 nm
at 35 mm working distance: 10.0 nm
Magnification
Zoom mode: 10 x (at 39 mm working distance) to 300K x
Probe current: 10-12 to 10-5A
Electron Optical System
Acceleration voltage: 0.2 to 40 kV
Focusable working distance: 3 to 53 mm
PMMA is the standard positive e-beam resist, usually purchased in two high molecular weight forms (495K or 950K) in a casting solvent such as chlorobenzene or anisole. Electron beam exposure breaks the polymer into fragments that can be dissolved in a 1:1 MIBK:IPA developer.
The system has the control in three major areas of SEM: Beam Blanker control, Scan & Signal control and Stage control.

MNFU (Microelectronics Research Center)




electrical engineering

shneider@ee.technion.ac.il

04-8294205

E-beam evaporator AIRCO TEMESCAL BJD 1800

The e-beam BJD 1800 evaporator is 18" diameter water cooled process chamber with VV-400 viewport. The system is based on cryogenic pump CTI-8, feature 4 pocket crucible E-gun with a 10 KV Airco Temescal power supply. The BJD 1800 has a semiautomatic valve sequencer, equipped by XY e-beam sweep controller and Inficon XTC/2 deposition process controller. The BJD 1800 has a planetary drive with motor controller for planetary and lift-off fixtures and SS chamber shielding. The system is dedicated for metals compatible with silicon:
Al, Al / Si / Cu, Ti, Ti/W.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205



Instrument

Description

Equipment Location




Faculty in Charge

Contact email

Contact phone

E-beam evaporator AIRCO TEMESCAL FC-1800

The e-beam FC-1800 evaporator is 18" diameter water cooled process chamber with VV-400 viewport. The system is based on cryogenic pump CTI-8, feature 6 pocket crucible E-gun with a 10 KV Airco Temescal power supply. The FC-1800 has a fully automatic valve sequencer, equipped by XY e-beam sweep controller and Inficon IC/5 deposition process controller. The FC-1800 has a planetary drive with motor controller for lift-off fixture and SS chamber shielding. The system is dedicated for metals compatible with compound semiconductors:
Au, Ge, Pt, Cr, Ni, Ti.

MNFU (Microelectronics Research Center)




electrical engineering

shneider@ee.technion.ac.il

04-8294205

E-Beam Evaporator, Custom made, VST

The e-beam VST evaporator is a 18" diameter process chamber with front viewport and accommodate samples up to 2.5 inch. The system is based on cryogenic pump CTI-8, feature 4 pocket crucible E-gun with a 10 KV MDC power supply. The VST evaporator has a semiautomatic valve sequencer, equipped by XY e-beam sweep controller and MAXTEK deposition process controller. The evaporator has an oxygen gas inlet, and is equipped by a thermal evaporation source and sample heating up to 300°C. The system is dedicated for: multilayer optical coatings (antireflection coatings for band pass, long pass, short pass optical filters) and thin dielectric high K materials deposition in MOS, MIM technologies. The system has a large number of materials to evaporate:
SiO2, Al2O3, Ta2O5, SiO, HfO2, TiO2, Pr2O3, Er2O3, Si, Ge, ZnS.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205

PERKIN ELMER, Sputtering tool

The Perkin Elmer 2400 Sputtering tool is a general purpose RF sputter system. Features include automatic pump down controller, a cryopump for an oil free vacuum, and a 500W RF power supply. It has three 8" sputter targets: Platinum, Aluminum and etc.

MNFU (Microelectronics Research Center)

electrical engineering

shneider@ee.technion.ac.il

04-8294205

Download 0,68 Mb.

Do'stlaringiz bilan baham:
1   2   3   4   5   6   7   8   9   10   11




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©hozir.org 2024
ma'muriyatiga murojaat qiling

kiriting | ro'yxatdan o'tish
    Bosh sahifa
юртда тантана
Боғда битган
Бугун юртда
Эшитганлар жилманглар
Эшитмадим деманглар
битган бодомлар
Yangiariq tumani
qitish marakazi
Raqamli texnologiyalar
ilishida muhokamadan
tasdiqqa tavsiya
tavsiya etilgan
iqtisodiyot kafedrasi
steiermarkischen landesregierung
asarlaringizni yuboring
o'zingizning asarlaringizni
Iltimos faqat
faqat o'zingizning
steierm rkischen
landesregierung fachabteilung
rkischen landesregierung
hamshira loyihasi
loyihasi mavsum
faolyatining oqibatlari
asosiy adabiyotlar
fakulteti ahborot
ahborot havfsizligi
havfsizligi kafedrasi
fanidan bo’yicha
fakulteti iqtisodiyot
boshqaruv fakulteti
chiqarishda boshqaruv
ishlab chiqarishda
iqtisodiyot fakultet
multiservis tarmoqlari
fanidan asosiy
Uzbek fanidan
mavzulari potok
asosidagi multiservis
'aliyyil a'ziym
billahil 'aliyyil
illaa billahil
quvvata illaa
falah' deganida
Kompyuter savodxonligi
bo’yicha mustaqil
'alal falah'
Hayya 'alal
'alas soloh
Hayya 'alas
mavsum boyicha


yuklab olish