This Catalog is a compilation of equipment at the six Israel nano centers available to all users on a fee basis: internal users, researchers at other universities and industry



Download 0,68 Mb.
bet5/11
Sana11.02.2017
Hajmi0,68 Mb.
#2326
1   2   3   4   5   6   7   8   9   10   11



Instrument

Description

Equipment Location

Operator'>Technion Faculty

Academia

Industry

Operator

Faculty in Charge/Link

Contact email

Contact phone

Operator

Self

OPTICAL TWEEZERS

The PALM Microtweezers is a fully automated optical manipulation system used to trap dielectric microspheres, viruses, bacteria, living mammalian cells, organelles, liposomes, small metal particles, and even strands of DNA. Applications include confinement and organization (e.g. for cell sorting), tracking of movement (e.g. of motile cells), application and measurement of small forces, and altering of larger biological structures (such as cell membranes). Some of the main uses for optical traps have been the study of molecular motors, the biomechanical properties of cells, lyposomes, and biological molecules, and the physical properties of DNA. Used in conjunction with more conventional microfluidic drives, optical tweezers could be used to assemble biological molecules in microchannels, to optically reroute samples, and to elucidate new forces (both hydrodynamic and hemodynamic) that will be of practical importance to both micromechanical and microfluidic systems.

Center for Biomechanical Micro and Nano Manipulation (currently located in the Physics faculty)

-

25$

25$

40$

Dr. Kinneret Keren

http://www.bm.technion.ac.il/

kinneret@ph.technion.ac.il

04-8292741

LASER MICRO-DISSECTOR

The PALM MicroBeam C laser micro-dissector is a fully digitized and computer controlled microscopy system offering new possibilities in live-cell applications, such as the isolation of single and groups of cells under the microscope for the purpose of further growth or molecular biological analysis. The PALM system is capable of micromanipulation of up to 24 different types of live cells. The PALM is also capable of automated sample acquisition using advanced image processing software, making it possible to identify and isolate cell populations based on morphological features

Center for Biomechanical Micro and Nano Manipulation

-

25$

25$

40$

Dr. Oleg Verbitsky

http://www.bm.technion.ac.il/

dror@bm.technion.ac.il

04-8294805

SIMS – Secondary Ion Mass Spectrometry (Cameca ims4f)

Trace and major elements analysis, including hydrogen, in solids and thin films. Depth profiling from small areas with detection sensitivity in the ppm-ppb region.

Solid State Institute

60$

-

120$

200$

Catherine Cytermann; Dr. Reuven Brener

http://surfaces.technion.ac.il/

ssccyt@tx.technion.ac.il ; brener@sspower.technion.ac.il

04-8293547/5638

XPS – X-ray Photoelectron Spectroscopy (Thermo VG Scientific Sigma Probe)

Small area chemical analysis of solid surfaces with chemical bonding information obtained by using a microfocused (from 15 to 400 μm) monochromated x-ray source. Angle resolved information is obtained with and without tilting the sample. Depth profiling with excellent depth resolution.

Solid State Institute

60$

-

120$

200$

Dr. Reuven Brener

http://surfaces.technion.ac.il/

brener@sspower.technion.ac.il

04-8293547/5638



Instrument

Description

Equipment Location

Technion Faculty

Academia

Industry

Operator

Faculty in Charge/Link

Contact email

Contact phone

Operator

Self










SAM – Scanning Auger Microscopy (Thermo VG Scientific Microlab 350)

Elemental analysis of solid surfaces with high spatial resolution (7 nm in SEM and 12 nm in Auger modes). Depth profiling with excellent depth resolution.

Solid State Institute

60$

-

120$

200$

Dr. Reuven Brener

http://surfaces.technion.ac.il/

brener@sspower.technion.ac.il

04-8293547/5638

STM/AFM - Scanning Tunneling/Atomic Force Microscopy (Omicron UHV SPM)

Structural surface analysis with atomic resolution. Local topography, electronic and chemical properties of solid surfaces with nanometer spatial resolution. The surface probe microscopy measurements are performed in ultra high vacuum and at variable temperatures between 25 to1500K.

Solid State Institute

50$

20$

50$

180$

Dr. Cecile Saguy; Dr. Reuven Brener

http://surfaces.technion.ac.il/

cecile@sspower.technion.ac.il; brener@sspower.technion.ac.il

04-8293547/5638

NSOM/AFM - Near-field Scanning Optical/Atomic Force Microscopy (Nanonics CryoView 2000)

Simultaneous characterization of solid samples by AFM and NSOM at temperatures between 10 to down to 300K. Photoluminescence, reflection and transmission optical characterization of surfaces with spatial resolution below the diffraction limit.

Solid State Institute

30$

-

30$

180$

Evgeny Linder

 

evgeny@sspower.technion.ac.il

04-8293919

HR XRD – High Resolution X-ray Diffraction (Philips Four Crystal Diffractometer)

Characterization of thin epitaxial layers. Composition, layer thickness, state of relaxation as well as periods and mean mismatch  of superlattices in multilayered structures can be determined.

Solid State Institute

38$

16$

60$

80$

Avraham Raanan

 

rannan@sspower.technion.ac.il

04-8293639

Micro Raman Spectroscopy (Dilor Double Spectrometer)

Characterization of crystal quality and microstructure of solid materials and epitaxial layers with spatial resolution as low as 1μm. Characterization of order and stress in semiconductors.

Solid State Institute

38$

16$

60$

80$

Dr. Albert Chack

 

ssalbert@technion.ac.il

04-8293933 04-8293655



Instrument

Description

Equipment Location

Technion Faculty

Academia

Industry

Operator

Faculty in Charge/Link

Contact email

Contact phone

Operator

Self










Ion Implantation (HVEE 320 KeV Ion Implanter)

Ion implantation into semiconductors and other materials at various temperatures, doses and energies.

Solid State Institute

The cost depends on the specifics of the requested implantation (ion species, ion dose, sample size, number of samples to be implanted under similar conditions, particular implantation conditions, etc.). For a specific quotation pls. cantact the ion implantation laboratory.

Dr. Richter Vladimir

 

ssvova@tx.technion.ac.il

04-8293907/3908

ToF-SIMS – Time of Flight Secondary Ion Mass Spectrometry (Ion ToF ToF-SIMS 5)

Elemental and molecular information from the uppermost layer of organic and inorganic surfaces.
Shallow depth profiling and imaging of thin layers with nanometer scale depth resolution, submicron lateral resolution and ppm sensitivity.

Solid State Institute

60$

-

120$

200$

Catherine Cytermann; Dr. Reuven Brener

http://surfaces.technion.ac.il/

ssccyt@sspower.technion.ac.il; brener@sspower.technion.ac.il

04-8293148 04-8295638

Transmission Electron Microscope - FEI Titan 80-300 KeV FEG-S/TEM

The Titan 80-300 FEG-S/TEM (FEI) is an all-new platform dedicated to correction and monochromator technology. The Titan S/TEM system is the world's highest resolution commercially-available microscope, yielding powerful sub-Angstrom (atomic scale) imaging and analysis. The microscope includes:
A monochromator for sub-eV energy resolution (80-300kV)
An aberration corrector for the objective system (80-300kV)
A high resolution energy filter, for sub-eV EELS and energy filtered TEM
A high resolution STEM system, including HAADF, BF and DF
EDS for local chemical analysis
A double-tilt hot stage (1000°C) for in-situ studies
A double-tilt cryo-stage (liquid N2) for life-science and CBED/EELS analysis
TV-Rate CCD (for real time movie recording) and high resolution slow scan CCD (for imaging) TEM and STEM tomography.

Electron Microscopy Center (Materials Engineering faculty)

 

 

 

 

Dr. Yaron Kauffmann 04-8294567

 

http://materials2.technion.ac.il/Mika/index.php

04-8294567

Scanning Electron Microscope - FEI E-SEM Quanta 200

An environmental SEM, enabling characterization of non-conducting without a conductive, and is equipped with EDS (light element), WDS and EBSD.

Electron Microscopy Center (Materials Engineering faculty)

 

 

 

 

Dr. Alex Berner 04-8294568

 

http://materials2.technion.ac.il/Mika/index.php

04-8294568



Instrument

Description

Equipment Location

Technion Faculty

Academia

Industry

Operator

Faculty in Charge/Link

Contact email

Contact phone

Operator

Self










Plasma Cleaner

Fischione plasma cleaner – model 1020

Electron Microscopy Center (Materials Engineering faculty)

 

 

 

 

Dr. Yaron Kauffmann 04-8294567

 

http://materials2.technion.ac.il/Mika/index.php

 

Transmission Electron Microscope - FEI Tecnai G2 T20 S-Twin TEM

A 200KeV (or 120KeV) TEM with a LaB6 electron source and an FEI Supertwin Objective Lens. This microscope is also equipped with a BF and DF STEM detectors, an EDX detector, a plate camera and a 1Kx1K Gatan 694 retractable slow scan CCD

Electron Microscopy Center (Materials Engineering faculty)

 

 

 

 

Dr. Yaron Kauffmann 04-8294567

 

http://materials2.technion.ac.il/Mika/index.php

04-8294567

Electron Microscopy Specimen Preparation Lab

The lab includes: variable speed grinder/polishers (Buehler EcoMet 3000), precision sectioning saw (Buehler IsoMet Low Speed Saw), semiautomatic grinder/polisher (Buehler MiniMet 1000), Gatan 656 Dimple Grinder, Polaron gold and carbon coaters, Fischione plasma cleaner – model 1020, Gatan 691 Precision Ion Polishing System (PIPS), IV8 Gentle Mill – low energy ion miller and a Microtom system for soft materials sectioning

Electron Microscopy Center (Materials Engineering faculty)

 

 

 

 

Michael Kalina 04-8294518

 

http://materials2.technion.ac.il/Mika/index.php

04-8294518

Light Microscopes

Light microscopy is based on two metallurgical light microscopes: The Zeiss Axiophot which includes a CCD and video printer system. A Reichert Polyvar Z microscope linked to a computer via a CCD for image analysis using the SIS Software Imaging System.

Electron Microscopy Center (Materials Engineering faculty)

 

 

 

 

Michael Kalina 04-8294518

 

http://materials2.technion.ac.il/Mika/index.php

04-8294518

LEO Gemini 982

A field emission gun SEM (FEG-SEM) which includes an in-lens detector for low voltage applications, an EDAX light-element EDS system, and an Oxford electron backscattered electron diffraction system (EBSD).

Materials Engineering faculty. This microscope is located in the Wolfson Centre for Interface Science, located adjacent to the Electron Microscopy Center.

 

 

 

 

Dr. Alex Berner 04-8294568

 

http://materials2.technion.ac.il/Mika/index.php

04-8294568

Download 0,68 Mb.

Do'stlaringiz bilan baham:
1   2   3   4   5   6   7   8   9   10   11




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©hozir.org 2024
ma'muriyatiga murojaat qiling

kiriting | ro'yxatdan o'tish
    Bosh sahifa
юртда тантана
Боғда битган
Бугун юртда
Эшитганлар жилманглар
Эшитмадим деманглар
битган бодомлар
Yangiariq tumani
qitish marakazi
Raqamli texnologiyalar
ilishida muhokamadan
tasdiqqa tavsiya
tavsiya etilgan
iqtisodiyot kafedrasi
steiermarkischen landesregierung
asarlaringizni yuboring
o'zingizning asarlaringizni
Iltimos faqat
faqat o'zingizning
steierm rkischen
landesregierung fachabteilung
rkischen landesregierung
hamshira loyihasi
loyihasi mavsum
faolyatining oqibatlari
asosiy adabiyotlar
fakulteti ahborot
ahborot havfsizligi
havfsizligi kafedrasi
fanidan bo’yicha
fakulteti iqtisodiyot
boshqaruv fakulteti
chiqarishda boshqaruv
ishlab chiqarishda
iqtisodiyot fakultet
multiservis tarmoqlari
fanidan asosiy
Uzbek fanidan
mavzulari potok
asosidagi multiservis
'aliyyil a'ziym
billahil 'aliyyil
illaa billahil
quvvata illaa
falah' deganida
Kompyuter savodxonligi
bo’yicha mustaqil
'alal falah'
Hayya 'alal
'alas soloh
Hayya 'alas
mavsum boyicha


yuklab olish