This Catalog is a compilation of equipment at the six Israel nano centers available to all users on a fee basis: internal users, researchers at other universities and industry



Download 0,68 Mb.
bet3/11
Sana11.02.2017
Hajmi0,68 Mb.
#2326
1   2   3   4   5   6   7   8   9   10   11



Instrument

Description

Equipment Location

TAU Faculty

Academia

Industry

Operator

Faculty in Charge

Contact email

Contact phone




Operator

Self




Spectroscopic Ellipsometer, Woollam M2000DUV

The M-2000DUV Spectroscopic Ellipsometer. The ellipsometer measures 505 wavelengths covering 193 to 1000nm, ideal for semiconductor applications. Optical constants are measured at each lithograph line (193nm, 248nm, 365nm...). The entire spectrum of data is acquired in a fraction of a second.

Faculty of Engineering, Microfabrication facility

$75

$50

$105*

$105

Mark Oksman

MNCF Director




036407926




Surface profiler, ALPHA-STEP 500, TENCOR

Measurements on vertical features ranging in height from less than 50 angstroms to 300 micrometers. Resolution of 1Å reproducibility 10 Å or 0.1%.

Faculty of Engineering, Microfabrication facility

$75

$50

$105*

$105

Dr. Aleks Gurevich, David Schreiber

MNCF Director

oksman@eng.tau.ac.il

036407926




Sentech FTP Spectroscopic Reflectometer

Dielectric film thickness measurement, using spectroscopic reflectometry (450-920 nm). Film thickness from 50 nm to 25 micron with accuracy of 1 nm

Faculty of Engineering, Microfabrication facility

$75

$50

$105*

$105

Mark Oksman

MNCF Director

036407926




XRF EX3600, Jordan Valley

X-ray fluorimeter system for specimen composition analyses and non destructive film thickness measurements

Faculty of Engineering, Microfabrication facility

$75

$50

$105*

$105

Mark Oksman

MNCF Director

oksman@eng.tau.ac.il

036407926




Olympus MX-40, Olympus MX-50

Inspection Microscopes

Faculty of Engineering, Microfabrication facility

$75

$50

$105*

$105

all users

MNCF Director

036407926




Wafer dicing saw K&S 982-6 Plus

The K&S Model 982 is a Precision Dicing System having automated process control. The 982 Series is intended primarily for dicing applications on semiconductors and piezo-electric materials and it is characterized by a 2-inch spindle, allowing use of 2” and 3” blades, and can accommodate wafer-type substrates up to 6" in diameter. In addition, the 2” blade offers improved stability for better quality.

Faculty of Engineering, Microfabrication facility

$75

$50

$105*

$105

Maurice Saidian

MNCF Director

oksman@eng.tau.ac.il

036407926




Ultra Tec MULTIPOL polisher

Polisher

Faculty of Engineering, Microfabrication facility

$75

$50

$105*

$105

 

MNCF Director

036407926




* NOTE: 50% DISCOUNT FOR $10,000 OPEN ORDER; 25% DISCOUNT FOR $5,000 OPEN ORDER for internal users, $55/hour operator cost for ACADEMIA, $105/hour operator cost for Industry



































































"התעריפים המצוינים בקובץ זה נכונים לחודש יוני 2010. בכל מקרה של סתירה בין תעריפים אלו והתעריפים המפורסמים באתרי מרכזי התשתית בטכניון, יהיו התעריפים המופיעים באתרי מרכז התשתית בטכניון הקובעים".





















TECHNION





































Instrument

Description

Equipment Location

Technion Faculty

Academia

Industry

Operator

Faculty in Charge/

Link

Contact email

Contact phone




Operator

Self




E-beam writing system RAITH E-line

The RAITH E-Line is an electron beam lithography system designed for R&D of III-V transistors as well as process development and prototype engineering for optical elements, X-ray masks, and Silicon devices. It is also used for research of new devices that require ultra fine pattern exposure, including quantum effect devices.
PMMA is the standard positive e-beam resist, usually purchased in two high molecular weight forms (495K or 950K) in a casting solvent such as chlorobenzene or anisole. Electron beam exposure breaks the polymer into fragments that can be dissolved in a 1:1 MIBK:IPA developer.

Electrical Engineering, Kidron Microelectronics Research Center Note: Internal grants for Technion and Academia researchers

60$ +70$ expert time

 

60$ +70$ expert time

60$ +70$ expert time

Eng. Amit Zeidler

http://webee.technion.ac.il/labs/nano/

shneider@ee.technion.ac.il

04-8294205




GCA AUTOstep 200 stepper 5X

GCA stepper is a 5x 0,35NA stepper with environmental control. Uses 5"x5" soda lime reticles 365 nm optics. Capable of features down to 0.7 mkm in size. capable of 0.25 mkm pattern global overlay, and 0.15 mkm local. Features on the mask are reduced 5x down to the printed feature size. Excellent tool for larger feature sizes. Field 11.3 x 11.3 mm, depth of focus 1.49 mkm

Electrical Engineering, Kidron Microelectronics Research Center

60$+ 70$ expert hour + 100$ clean room hour

 

60$+70$ expert time+ 100$ clean room hour

60$+70$ expert time+ 100$ clean room hour

Eng. Amit Zeidler

http://webee.technion.ac.il/labs/nano/

shneider@ee.technion.ac.il

04-8294205




ICP etcher Plasma Therm Shuttleline

The Plasma-Therm ICP reactive ion etcher has a load-locked chamber and is used for chlorine-based etching of compound semiconductors such as GaAs, GaN, InP and related materials. The system currently has three reactive gases available Cl2, BCl3,HBr. The system is equipped by a turbomolecular pump and capable to run processes at 1-2 mTorr pressures. The system has a four inch wafer helium cooled chuck which accommodates whole wafers or small pieces taken on 4 inch carrier wafer. The system is equipped by end point detection system. Wafer temperature range can be determined between -60 oC to 150 oC.

Electrical Engineering, Kidron Microelectronics Research Center

300$+ 100$ clean room hour

 

300$+ 100$ clean room hour

300$+ 100$ clean room hour

 

http://webee.technion.ac.il/labs/nano/

shneider@ee.technion.ac.il

04-8294205




ICP deep RIE Plasma Therm Versaline

The Plasma-Therm ICP reactive ion etcher has a load-locked chamber and is used for fluorine-based etching of silicon,silicon oxide and nitride. The system can run BOSH like processes for silicon and glass. The system currently has three reactive gases available CF4, CHF3,SF6. The system is equipped by a turbomolecular pump and capable to run processes at 1-2 mTorr pressures. The system has a six inch wafer helium cooled chuck which accommodates whole wafers or small pieces taken on 6 inch carrier wafer. The system is equipped by end point detection system. Wafer temperature range can be determined between -10 oC to 70 oC.

Electrical Engineering, Kidron Microelectronics Research Center

500$ (500 mkm process) 200$ (50 mkm) +100$ clean room hour

500$ (500 mkm process) +100$ clean room hour

500$ (500 mkm process) +100$ clean room hour

500$ (500 mkm process) +100$ clean room hour

Dr. Orna Ternyak

http://webee.technion.ac.il/labs/nano/

shneider@ee.technion.ac.il

04-8294205






Instrument

Description

Equipment Location

Technion Faculty

Academia

Industry

Operator

Faculty in Charge/

Link

Contact email

Contact phone

Operator

Self

Nanospec AFT 2100 NANOMETRICS

The Nanospec/AFT is a computerized film thickness measurement system. The Nanospec uses light from a white source which is passed through a diffraction grating to disperse the light into its component wavelengths, from 380 to 780 nanometers. The interference of the light waves is then measured using several algorithms and the thickness is determined. This instrument offers programs on eleven specific film types, relative reflectance, and multipurpose thick film capability. The Nanospec only works with dielectric films. The range of the instrument extends from less than 100 angstroms to 400K angstroms, with a reproducibility of plus/minus 2 percent to plus/minus 5 percent.

MNFU (Microelectronics Research Center)

The MNFU (micro nano fabrication unit) is the Microelectronics Research central facility for processing and characterization of semiconductor devices. It is located, mainly, in the Wolfson building clean-room area. The facility serves the researchers and the students in the microelectronics reasearch center, as well as other users from the Technion, other israeli universities, and Israeli industry.
Prospect users of the MNFU equipment should consult the MNFU manager Eng. Jacob Shneider at shneider@ee.technion.ac.il


http://webee.technion.ac.il/labs/nano/

shneider@ee.technion.ac.il

04-8294205

Four Point Probe FPP 5000 VEECO

The four point probe is an instrument to measure resistive properties
(such as: sheet resistance, dopant type, slice resistivity and film thickness) of semiconductor wafers and resistive films based on a four point voltage/current measurement. The FPP5000 is designed so that the wafer moves into the probe head to insure a constant force. Any size sample from 1 cm to a 4" wafer can be measured

MNFU (Microelectronics Research Center)

http://webee.technion.ac.il/labs/nano/

shneider@ee.technion.ac.il

04-8294205

ALPHA-STEP 500, Surface profiler, TENCOR

The Alpha-Step 500 is a microprocessor - based surface profiler used for making accurate measurements on vertical features ranging in height from less than 50 angstroms to 300 micrometers. Alpha Step 500 acquires data by moving the stylus beneath the sample. Vertical movements of the stylus are sensed by a transducer, digitized and stored in the memory for later plot manipulation. Profiler provides two dimensional analysis of surface topography on various surfaces with a resolution of 1 Å and a repeatability of 10 Å (1sigma) or 0.1%

MNFU (Microelectronics Research Center)

http://webee.technion.ac.il/labs/nano/

shneider@ee.technion.ac.il

04-8294205

Ellipsometers: GAERTNER 116C; RUDOLPH AUTO II

The Gaertner Scientific Corporation L116C is a single wavelength variable angle ellipsometer using 632.8 nm line of a He:Ne laser for measuring film thickness and refractive index. The typical angle of incidence is 70 degrees. Measurement resolution of 3-10 A on thickness and 0.01 refractive units are typical.

The L116C is a traditional rotating analyzer and the variable angle feature gives more flexibility to analyze more difficult and near period films. Standard DOS based software is used to calculate the parameters. The ellipsometer measures the change in state of polarized light upon reflection from a surface. The state of polarization is determined by the amplitude ratio of the parallel (p) and perpendicular (s) components of radiation, and the phase shift difference between the two components.



MNFU (Microelectronics Research Center)

http://webee.technion.ac.il/labs/nano/

shneider@ee.technion.ac.il

04-8294205

Download 0,68 Mb.

Do'stlaringiz bilan baham:
1   2   3   4   5   6   7   8   9   10   11




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©hozir.org 2024
ma'muriyatiga murojaat qiling

kiriting | ro'yxatdan o'tish
    Bosh sahifa
юртда тантана
Боғда битган
Бугун юртда
Эшитганлар жилманглар
Эшитмадим деманглар
битган бодомлар
Yangiariq tumani
qitish marakazi
Raqamli texnologiyalar
ilishida muhokamadan
tasdiqqa tavsiya
tavsiya etilgan
iqtisodiyot kafedrasi
steiermarkischen landesregierung
asarlaringizni yuboring
o'zingizning asarlaringizni
Iltimos faqat
faqat o'zingizning
steierm rkischen
landesregierung fachabteilung
rkischen landesregierung
hamshira loyihasi
loyihasi mavsum
faolyatining oqibatlari
asosiy adabiyotlar
fakulteti ahborot
ahborot havfsizligi
havfsizligi kafedrasi
fanidan bo’yicha
fakulteti iqtisodiyot
boshqaruv fakulteti
chiqarishda boshqaruv
ishlab chiqarishda
iqtisodiyot fakultet
multiservis tarmoqlari
fanidan asosiy
Uzbek fanidan
mavzulari potok
asosidagi multiservis
'aliyyil a'ziym
billahil 'aliyyil
illaa billahil
quvvata illaa
falah' deganida
Kompyuter savodxonligi
bo’yicha mustaqil
'alal falah'
Hayya 'alal
'alas soloh
Hayya 'alas
mavsum boyicha


yuklab olish