Raqamli qurilmalarni loyihalashga kirish



Download 272,19 Kb.
Pdf ko'rish
bet1/7
Sana02.01.2022
Hajmi272,19 Kb.
#309440
  1   2   3   4   5   6   7
Bog'liq
Raxmanova



 

MUXAMMAD AL-XORAZMIY NOMIDAGI 

TOSHKENT AXBOROT TEXNOLOGIYALARI UNIVERSITETI 

SAMARQAND  FILIALI 

303-19 GURUH TALABASI 

RAXMANOVA  AYSHANING 

“RAQAMLI QURILMALARNI LOYIHALASHGA KIRISH” FANIDAN 

 

MUSTAQIL ISHI 

 

 



Mavzu: D-trigger ,T-trigger ,JK-trigger. 

 

Reja: 

  Kirish  

1. 

Statik sinxronizatsiyalangan D-trigger



 

 

         2. 



 T-triggerning shartli belgisi, tuzilmasi, o’tish jadvali  

 

         3. JK-trigger. 



Xulosa 

Foydalanilgan adabiyotlar 



 

  D-trigger 

 

D-triggerlarda  kamida  ikkita  kirish  mavjud:  ma'lumot  D  va  



sinxronizatsiya  S.  Sinxronizatsiya  usuli  statik  (potentsial)  va  dinamik  bo'lishi  

mumkin.  Statik  kirish  S  bo'lgan  triggerlar  uchun  ma'lumot  signal  darajasi  C  =  1  

bo'lgan  vaqtga  yoziladi,  bunday  triggerlar  ba'zan  "shaffof  qulf"  deb  nomlanadi.  

Dinamik  kirish  C  bo'lgan  triggerlarda  D  kirishidan  trigger  holatiga  faqat  S  




kirishidagi  kuchlanish  tushgan  paytga  ma'lumot  yoziladi.  Dinamik  kirish  

uchburchak  yoki  egri  chiziqli  diagrammalarda  tasvirlangan.Agar  uchburchakning  

tepasi  mikrosxemaga  yoki  qiyalik  shaklidagi  qiyalikka  (to'g'ridan-to'g'ri  dinamik  

kirish)  qaragan  bo'lsa,  u  holda  trigger  pulsning  old  tomonidan  qo'zg'atiladi,  agar  

uchburchak  mikrosxemaning  tasviridan  yoki  teskari  (teskari  dinamik  kirish)  

shaklidagi qiyalikka  

o'girilsa, u holda pulsning emirilishi hosil bo’ladi.  

                          

   

  

 



 

  

  



      


Download 272,19 Kb.

Do'stlaringiz bilan baham:
  1   2   3   4   5   6   7




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©hozir.org 2024
ma'muriyatiga murojaat qiling

kiriting | ro'yxatdan o'tish
    Bosh sahifa
юртда тантана
Боғда битган
Бугун юртда
Эшитганлар жилманглар
Эшитмадим деманглар
битган бодомлар
Yangiariq tumani
qitish marakazi
Raqamli texnologiyalar
ilishida muhokamadan
tasdiqqa tavsiya
tavsiya etilgan
iqtisodiyot kafedrasi
steiermarkischen landesregierung
asarlaringizni yuboring
o'zingizning asarlaringizni
Iltimos faqat
faqat o'zingizning
steierm rkischen
landesregierung fachabteilung
rkischen landesregierung
hamshira loyihasi
loyihasi mavsum
faolyatining oqibatlari
asosiy adabiyotlar
fakulteti ahborot
ahborot havfsizligi
havfsizligi kafedrasi
fanidan bo’yicha
fakulteti iqtisodiyot
boshqaruv fakulteti
chiqarishda boshqaruv
ishlab chiqarishda
iqtisodiyot fakultet
multiservis tarmoqlari
fanidan asosiy
Uzbek fanidan
mavzulari potok
asosidagi multiservis
'aliyyil a'ziym
billahil 'aliyyil
illaa billahil
quvvata illaa
falah' deganida
Kompyuter savodxonligi
bo’yicha mustaqil
'alal falah'
Hayya 'alal
'alas soloh
Hayya 'alas
mavsum boyicha


yuklab olish