Режа: Асинхрон ва синхрон т – триггерлар d – триггерлар ва уларнинг ишлаш принципи jk – триггерлар ва уларнинг ишлаш принципи Универсал jk-триггерлар Асинхрон ва синхрон т – триггерлар



Download 82,97 Kb.
bet1/2
Sana02.06.2022
Hajmi82,97 Kb.
#631004
  1   2
Bog'liq
2 5467889750339752568


D, T ва JK Триггерлар. Уларнинг
схемалари ва ишлаш принциплари
Режа:

  1. Асинхрон ва синхрон Т – триггерлар

  2. D – триггерлар ва уларнинг ишлаш принципи

  3. JK – триггерлар ва уларнинг ишлаш принципи

  4. Универсал JK-триггерлар


1. Асинхрон ва синхрон Т – триггерлар
Т - триггер (инг. Toggle) релаксатор маъносини англатади. Ушбу триггер фақат битта Т-информацион кириш йўлига эга. Бу кириш йўли ҳисоб кириш йўли дейилади . Т-триггер ўз ҳолатини кириш йўлига янги бошқарувчи сиг- нал келиши билан ўзгартиради.
Асинхронн Т-триггер Бу қурилма иккита турғун ҳолатга эга бўлиб, битта Т- информацион кириш йўлига эгадир. У асинхрон Т-триггер деб аталади. Бундай триггернинг кириш йўлига «1» сигнал берилганда у ўз ҳолатини қарама-қарши (тескари) ҳолатга ўзгартиради. Асинхрон Т-триггернинг ишлаш принципини унинг қуйидаги ўтиш жадвали ифодалайди:


Ўтиш жадвали

R

Qt+1

Изоҳ

0

Qt

Сақлаш

1

Qt

Инверсия




Қуйида асинхрон Т-триггернинг вақт диаграммаси ҳамда икки тактли RS- триггердан ташкил топган схемаси келтирилган. Ушбу схемада «С» кириш йўлига Т=1 сигналини бериш орқали, икки тактли RS триггерга олдинги тактга тескари бўлган иккилик кодни ёзиш имконияти пайдо бўлади.
Синхрон Т-триггер Синхрон икки тактли ушбу қурилмада бирлик кодни триггерга ёзиш С=1 бўлганда бажарилади. Т- кириш сигнали С=1 бўлганда юқори кучланиш билан ифодаланади. Демак, триггернинг ҳолати Т=1 бўлганда тескарисига ўзгаради ва Т=0 бўлганда эса унинг ҳолати ўзгармайди. Синхрон Т-триггер қуйидаги ўтиш жадвали асосида ишлайди:


Ўтиш жадвали

С


Download 82,97 Kb.

Do'stlaringiz bilan baham:
  1   2




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©hozir.org 2024
ma'muriyatiga murojaat qiling

kiriting | ro'yxatdan o'tish
    Bosh sahifa
юртда тантана
Боғда битган
Бугун юртда
Эшитганлар жилманглар
Эшитмадим деманглар
битган бодомлар
Yangiariq tumani
qitish marakazi
Raqamli texnologiyalar
ilishida muhokamadan
tasdiqqa tavsiya
tavsiya etilgan
iqtisodiyot kafedrasi
steiermarkischen landesregierung
asarlaringizni yuboring
o'zingizning asarlaringizni
Iltimos faqat
faqat o'zingizning
steierm rkischen
landesregierung fachabteilung
rkischen landesregierung
hamshira loyihasi
loyihasi mavsum
faolyatining oqibatlari
asosiy adabiyotlar
fakulteti ahborot
ahborot havfsizligi
havfsizligi kafedrasi
fanidan bo’yicha
fakulteti iqtisodiyot
boshqaruv fakulteti
chiqarishda boshqaruv
ishlab chiqarishda
iqtisodiyot fakultet
multiservis tarmoqlari
fanidan asosiy
Uzbek fanidan
mavzulari potok
asosidagi multiservis
'aliyyil a'ziym
billahil 'aliyyil
illaa billahil
quvvata illaa
falah' deganida
Kompyuter savodxonligi
bo’yicha mustaqil
'alal falah'
Hayya 'alal
'alas soloh
Hayya 'alas
mavsum boyicha


yuklab olish