Maqsad: Karno kartasi yordamida minimallashtirishni o`rganish. Nazariy qism



Download 0,62 Mb.
bet7/7
Sana18.02.2022
Hajmi0,62 Mb.
#456977
1   2   3   4   5   6   7
Bog'liq
Laboratoriya ishi 2

Nazorat savollari

  1. D-trigerlar haqida tushuncha bering

  2. D -trigerning ishlash prinsipini tushuntirib bering

  3. D -Trigger prinsipial sxemasi keltiring

  4. D-trigerning avfzallik va kamchiliklari nimadan iborat?



Hisobot mazmuni
Talabalar yuqorida keltirilgan nazariy ma’lumotlarni o’qib o’rganib qisqacha xullosa va nazorat savollariga yozma ravishda javoblar yozib hisobot tayorlaydilar.

Nazorat savollarga javoblar:



  1. Asinxron RS-trigirlar haqida tushincha bering?

Triggerlarni informatsiyani qabul qilish usuli, qurilish prinsipi, hamda funksional imkoniyatlari bo'yicha sinflash mumkin. Informatsiyani qabul qilishi bo'yicha: asinxron va sinxron triggerlar mavjud. Asinxron triggerlar informatsion kirishlarida signallarning paydo bo'lish momentida o'z reaksiyalarini ko'rsatadi.



  1. D-trigerlar haqida tushuncha bering



D-trigger. D-trigger yagona D (data) ma’lumot kirishiga ega. Uning kam
miqdorda ishlab chiqarilishiga sabab, narxi yuqori bo‘lgan chiqishlarning kichik
soni. D-trigger uchun to‘rtta tashqi chiqish kifoya: D-ma’lumot kirishi, S-takt
kirishi, ikkita Q va Q chiqishlar (ularning biri mavjud bo‘lmasligi ham

  1. mumkin) ( 10.1 – rasm).

a)

b)


Xulosa: Electronics Workbench дастури оркали отсилограф, ерга улагич, орқали ВА ЭМАС мантикий элементлар ёрдамида схемалар йиғдик.
Download 0,62 Mb.

Do'stlaringiz bilan baham:
1   2   3   4   5   6   7




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©hozir.org 2024
ma'muriyatiga murojaat qiling

kiriting | ro'yxatdan o'tish
    Bosh sahifa
юртда тантана
Боғда битган
Бугун юртда
Эшитганлар жилманглар
Эшитмадим деманглар
битган бодомлар
Yangiariq tumani
qitish marakazi
Raqamli texnologiyalar
ilishida muhokamadan
tasdiqqa tavsiya
tavsiya etilgan
iqtisodiyot kafedrasi
steiermarkischen landesregierung
asarlaringizni yuboring
o'zingizning asarlaringizni
Iltimos faqat
faqat o'zingizning
steierm rkischen
landesregierung fachabteilung
rkischen landesregierung
hamshira loyihasi
loyihasi mavsum
faolyatining oqibatlari
asosiy adabiyotlar
fakulteti ahborot
ahborot havfsizligi
havfsizligi kafedrasi
fanidan bo’yicha
fakulteti iqtisodiyot
boshqaruv fakulteti
chiqarishda boshqaruv
ishlab chiqarishda
iqtisodiyot fakultet
multiservis tarmoqlari
fanidan asosiy
Uzbek fanidan
mavzulari potok
asosidagi multiservis
'aliyyil a'ziym
billahil 'aliyyil
illaa billahil
quvvata illaa
falah' deganida
Kompyuter savodxonligi
bo’yicha mustaqil
'alal falah'
Hayya 'alal
'alas soloh
Hayya 'alas
mavsum boyicha


yuklab olish