Ўзбекистон республикаси ахборот технологиялари ва коммуникацияларини ривожлантириш вазирлиги



Download 25,34 Mb.
bet28/113
Sana02.01.2022
Hajmi25,34 Mb.
#309694
1   ...   24   25   26   27   28   29   30   31   ...   113
Bog'liq
РҚЛК мажмуа

R

S

Qt

Qt+1

Izoh

0

0

0

0

Saqlash rejimi. R=S=0

0

0

1

1

0

1

0

1

1-ni o’rnatish S=1

0

1

1

1

1

0

0

0

0-ni o’rnatish R=1

1

0

1

0

1

1

0

*

Mumkin emas R=S=1

1

1

1

*

Ushbu triggerning ishlash prinsipidan kelib chiqqan holda yuqorida keltirilgan uning vaqt diagrammasini tahlil etamiz:

Faraz qilaylik boshlang’ich vaqtda triggerning holati Q=1 bo’lsin. Uning holati boshqa bo’lishi ham mumkin, lekin biz tahlilni ushbu holatidan ham boshlashimiz mumkin:

0- takt. Kirish signallari R=S=0. trigger bu vaqtda Q=1 ga teng edi. Bu signallarda trigger o’z holatini saqlaydi.

1- takt. Kirishda S=1 va R=0. trigger «1» holatga o’tadi. Lekin Q=1 bo’lgani uchun u o’z holatida qoladi

2-takt. S=0 va R=0. Axborotni saqlash rejimi. Q=1 ga teng.

3-takt. S=0 va R=1 trigger «0» holatga o’tadi.

Bunda Q va o’z holatlarini teskarisiga o’zgartiradi.

4-takt. S=R=0. Axborotni saqlash rejimi

5-takt. S=0 va R=1 triggerga «0» ni yozish rejimi. Trigger «0» holatga bo’lgani uchun u o’z holatini o’zgartirmaydi.

6-takt. S=R=0. Axborot saqlash rejimi

7-takt.S=1 vaR =0. Trigger «1» holatga o’tadi. Chiqish yo’lida Q=1 va =0 signallari paydo bo’ladi.



2- rasm. Triggerning vaqt diagrammasi.


Sinxron triggerlar va ularni qo’llash maqsadlari.

Mantiqiy elementlarning yoki qurilmalarning kirish yo’llariga signallar doim ham bir vaqtning o’zida yetib kelmaydi. Chunki ungacha signallar bir necha elementlardan o’tib kelishi, shuningdek signallarni bir xil ushlamaydigan elementlar orqali o’tib keladi.

Bunday hodisa signallar poygasi deyiladi. Signallar poygasi natijasida mantiqiy elementlar va qurilmalarning yangi signallari qiymatlari, eski signallar qiymatlari bilan ko’shilib ketishi natijasida mantiqiy element yoki qurilmaning noto’g’ri ishlashiga olib kelishi mumkin.

Bunday salbiy hodisalarni oldini olish uchun elementlarning kirish yo’liga informasion signallardan tashqari qo’shimcha sinxron (taktli yoki boshqaruvchi) signal berish mumkin. Chunki sinxron signal berilganga qadar elementlarning kirish yo’liga informasion signallar yetib keladi. Sinxron signal ega ularni yozish uchun ruxsat beruvchi boshqaruvchi signal vazifasini bajaradi.

B
ir taktli sinxron RS trigger R va S informasion kirish yo’llaridan tashqari qo’shimcha S sinxron kirish yo’lga ega (C-clock-asosiy sinxronizasiya ma’nosini anglatadi). Quyida «VA-YO’Q» mantiqiy elementlardan tashkil topgan sinxron RS- triggerning vaqt diagrammasi, funksional hamda prinsipial sxemalari keltirilgan.

3-rasm.«VA-YO’Q» mantiqiy elementlardan tashkil topgan sinxron RS- triggerning vaqt diagrammasi, funksional hamda prinsipial sxemalari


Bir taktli sinxron RS- trigger quyidagi prinsip asosida ishlaydi: Agar Ct=0 bo’lsa trigger o’z holatini saqlaydi. Agar Ct=1 bo’lsa ya’ni triggerlarning kirish yo’liga sinxrosignal (boshqaruvchi signal) berilsa, u asinxron RS- triggerga o’xshab ishlaydi.

Sinxron RS- trigger quyidagi o’tish jadvali asosida ishlaydi:



O’tish jadvali


Download 25,34 Mb.

Do'stlaringiz bilan baham:
1   ...   24   25   26   27   28   29   30   31   ...   113




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©hozir.org 2024
ma'muriyatiga murojaat qiling

kiriting | ro'yxatdan o'tish
    Bosh sahifa
юртда тантана
Боғда битган
Бугун юртда
Эшитганлар жилманглар
Эшитмадим деманглар
битган бодомлар
Yangiariq tumani
qitish marakazi
Raqamli texnologiyalar
ilishida muhokamadan
tasdiqqa tavsiya
tavsiya etilgan
iqtisodiyot kafedrasi
steiermarkischen landesregierung
asarlaringizni yuboring
o'zingizning asarlaringizni
Iltimos faqat
faqat o'zingizning
steierm rkischen
landesregierung fachabteilung
rkischen landesregierung
hamshira loyihasi
loyihasi mavsum
faolyatining oqibatlari
asosiy adabiyotlar
fakulteti ahborot
ahborot havfsizligi
havfsizligi kafedrasi
fanidan bo’yicha
fakulteti iqtisodiyot
boshqaruv fakulteti
chiqarishda boshqaruv
ishlab chiqarishda
iqtisodiyot fakultet
multiservis tarmoqlari
fanidan asosiy
Uzbek fanidan
mavzulari potok
asosidagi multiservis
'aliyyil a'ziym
billahil 'aliyyil
illaa billahil
quvvata illaa
falah' deganida
Kompyuter savodxonligi
bo’yicha mustaqil
'alal falah'
Hayya 'alal
'alas soloh
Hayya 'alas
mavsum boyicha


yuklab olish